Open Access Open Access  Restricted Access Subscription or Fee Access

Temperature Dependent Performance Analysis of SWCNT Bundles as VLSI Interconnects

Shilpa Agrawal, Ankit Kumar, Mayank Kumar Rai, Abhishek Shrivastava

Abstract


Impact of temperature on delay in single wall carbon nanotube (SWCNT) bundle interconnect has been analyzed. Equivalent circuit model based on temperature for metallic SWCNT bundle is developed and result obtained is equated with the conventional metal (copper) interconnects at 22nm technology node. It is seen that SWCNT bundle interconnects have less delay than copper interconnect with rise in temperature from 300K to 450K

Full Text:

PDF

References


] W. Steinhogl, G. Schindler, G. Steinlesberger, M. Tranving, M.

Engelhardt, “Comprehensive study of the resistivity of copper wires with lateral dimensions of 100nm and smaller,” J. Appl. Phys. 97, 023706 ,

P. McEuen, M. Fuhrer, H. Park, IEEE Transactions on Nanotechnology

(1) 78–85, 2002.

F. Kreupl, A. P. Graham, G.S. Duesberg, W. Steinhogl, M. Liebau, E.

Unger, W. Hönlein, “Carbon nanotubes in interconnects applications,”

Microelectron. Eng. 64(1–4), 399–408, 2002.

J. Li, Q. Ye, A. Cassell, H. T. Ng, R. Stevens, J. Han, M. Meyyappan, “Bottom-up approach for carbon nanotube interconnects,” Appl. Phys. Lett. 82(15), 2491–2493, 2005.

Y. Massoud, A. Nieuwoudt, “ Performance analysis of optimized carbon nanotube interconnect” in IEEE Symposium on Circuits and Systems, ISCAS-2008, pp. 792–795,2008.

B. Q. Wei, R. Vajtai, P. M. Ajayan, “Reliability and current carrying capacity of carbon nanotubes,” Appl. Phys. Lett.79, 1172, 2001.

N. Srivastava , K. Banerjee, “A comparative scaling analysis of metallic and carbon nanotube interconnections for nanometer scale VLSI technologies.” Proceedings of the 21st International VLSI Multilevel Interconnect Conference (VMIC): Waikoloa, HI, 393–398, 2004.

M. K. Rai, S. Sarkar, “Influence of tube diameter on Carbon nanotube

interconnects delay and power output,” Physica Status Solidi A,

(3):735–739, 2002.

N. Srivastava , K. Banerjee, “Performance analysis of carbon nanotube interconnects for VLSI applications”, ICCAD,383–390,2005.

H. Li , K. Banerjee, “High frequency analysis of carbon nanotube interconnects and implications for on-chip inductor design,” IEEE transaction on Electron Devices, 56(10),2202–2214,2005.

M. K. Rai, S. Sarkar, “Temperature dependant crosstalk analysis in coupled single-walled carbon nanotube (SWCNT) bundle interconnects,” International journal of circuit theory and applications, DOI:

1002/cta,2014.

E. Pop, D. Mann, J. Cao, Q. Wang, K. Goodson, H. Dai, “Negative differential conductance and hot phonons in suspended nanotube molecular wires,” Physical Review Letters 2005, 95,155505:1- 155505:4.

Z. Yao, C. L. Kane, C. Dekker, “High-Field Electrical Transport in

Single Wall Carbon Nanotubes,” Physical Review Letters 2000, 84(13),

(4).

J. Y. Park, S. Rosenblatt, Y. Yaish, “Electron-phonon scattering in metallic single-walled carbon nanotubes,” Nano Letters, vol. 4, no.3, pp. 517–520, 2004.

A. Javey, “High-field quasibalistic transport in short carbon nanotubes”

Physical Review Letters 92, 106804, 2004.

K. Banerjee, N. Srivastava, “Are carbon nanotubes the future of VLSI interconnections?,” 43rdACM IEEE DAC Conference Proceedings. San Francisco, CA, 2006, 809–14.

A. Raychoudhury, K. Roy, “Modelling of metallic carbon nanotube interconnects for circuit simulations and comparison with Cu interconnects for scaled technologies,” IEEE Transactions On Computer Aided design of integrated circuit and systems 2006, 25(1), 58–65.

P.J. Burke, “Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes,” IEEE Transactions Nanotechnology

, 1(3),129–144.

D. Giancoli, “25. Electric currents and resistance,” in Physics for Scientists and Engineers with Modern Physics, Phillips J (ed), (4th edition ed.). Prentice Hall: Upper Saddle River, New Jersey, 2009 [1984], 658. ISBN 0-13-149508-9.

D. Das, H. Rahaman, “Analysis of crosstalk in single- and multiwall carbon nanotube interconnects and its impact on gate oxide reliability,” IEEE Transactions on Nanotechnology 2011; 10(6):1362–1370.

N. Srivastava, K. Banerjee, “Performance analysis of carbon nanotube interconnects for VLSI applications,” in IEEE/ACM Intl.Conf. on ICCAD,2005, pp. 383–390.

Predictive technology model (PTM)[Online]:www.eas.asu.edu/~ptm/.

S. C. Wong, G. Y. Lee, D. J. Ma, “Modeling of interconnect capacitance,delay, and crosstalk in VLSI,” IEEE Transactions On Semiconductor Manufacturing 2000, 13(1):108–111.

M. K. Rai, S. Sarkar, “Influence of distance between adjacent tubes on SWCNT bundle interconnect delay and power dissipation,” Journal of Computational Electronics 2013, 12(4):796–802.

H. Li , W. Y. Yin, K. Banerjee, J. F. Mao, “Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects,” IEEE Transactions on Electron Devices 2008, 55(6):1328–1337.

E. Pop, D. Mann, J. Reifenberg, K. Goodson, H. Dai, “Electro-thermal transport in metallic single-wall carbon nanotubes for interconnect applications,” IEEE International Electron Devices Meeting (IEDM)

,253–256.

R. Chandel, S. Sarkar, and R. P. Agarwal, Int. J. Mode Simul. 27, 333,

M. A. El-Moursy and E. G. Friedman, IEEE Trans. VLSI Syst. 12, 1295,




DOI: https://doi.org/10.37628/jvdt.v2i2.351

Refbacks

  • There are currently no refbacks.