Open Access Open Access  Restricted Access Subscription or Fee Access

Development of Verification Environment for Universal Memory Controller

Shivang Patel, Usha Mehta

Abstract


Increasing the performance of memory is important for increasing computer performance. With the use of a memory controller, data may be transferred between the processor and memory more effectively. Memory controllers have the main purpose of creating a high speed, secure, dataintegrity,
and information-retention interface between a host and a memory. Without memory controller the processor design will get very complex. In enhancing memory controller performance, a large amount of effort, resources, and time are consumed by the verification process. Verification in
the pre-silicon stage is considered an important concern to avoid chip defects due to design errors,specification errors. Developing the verification environment is a difficult task due to the difficulty in building and reusing resources, the number of protocols the verifier must understand, and the high number of iterations needed to attain full functional coverage. To verify universal memory controllers (UMC), this paper presents an optimized generic verification environment. The UMC used for the verification supports Static Random Access Memory (SRAM), Synchronous Dynamic Random Access Memory (SDRAM), FLASH memory, and Synchronous Chip Select Device (SCSD) memory with an open-source wishbone (WB) interface. This memory controller has many special features which make verification more difficult. A proposed verification methodology is configurable, scalable, reusable, and reduces the time it takes for verification to be completed. The proposed test plan verifies all the features of UMC provided in the specification sheet, and the regression test shows 100% coverage.
The proposed verification methodology has good portability and improves verification efficiency. It meets the requirements for chip validation.


Full Text:

PDF

References


Y. Wu, L. Yu, L. Lan and H. Zhou, "A Coverage-Driven Constraint Random-Based Functional Verification Method of Memory Controller," 2008 The 19th IEEE/IFIP International Symposium on Rapid System Prototyping, 2008, pp. 99-104, doi: 10.1109/RSP.2008.12.

K. Khalifa and K. Salah, "Implementation and verification of a generic universal memory controller based on UVM," 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2015, pp. 1-2, doi: 10.1109/DTIS.2015.7127364.

A. El-Yamany, S. El-Ashry and K. Salah, "Coverage Closure Efficient UVM Based Generic Verification Architecture for Flash Memory Controllers," 2016 17th International Workshop on Microprocessor and SOC Test and Verification (MTV), 2016, pp. 30-34, doi: 10.1109/MTV.2016.10.

Sharada Pattar, Rashmi S Bhaskar, 2017, Verification of Universal Memory Controller, International Journal of Engineering Research & Technology (IJERT) Volume 04, Issue 05 (May 2017).

V. Vutukuri, V.B. Adusumilli, P.K. Uppu, S. Varsa and R.K. Thummala, "Verification of SDRAM controller using SystemVerilog," 2020 IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT), 2020, pp. 1–6, doi: 10.1109/CONECCT50063.2020.9198440.

Rudolf Usselmann, “Memory Controller IP Core”, OpenCores Revision.1.7 January 21, 2002.

C. Yu, W. Brown, and M. Ciesielski, Verification of arithmetic datapath designs using word-level approacha case study, in 2015 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, pp. 18621865, 2015.

P. Gurha and R. Khandelwal, SystemVerilog assertion based verification of amba ahb, in 2016 International Conference on Micro-Electronics and Telecommunication Engineering (ICMETE), IEEE, pp. 641645, 2016


Refbacks

  • There are currently no refbacks.