Open Access Open Access  Restricted Access Subscription or Fee Access

Intra-chip Antennas: An Innovative Wireless Network Security—A Survey

Laxmikant Tiwari, Rohit Miri

Abstract


In this article, the author uses HFSS simulations from Ansys to present them. Precision wireless channel models for a practical WiNoC climate. The author examines the efficiency of these models for various forms of narrowband and wideband antennas. This includes calculating the scattering parameters for channels between several antennas. WiNoC antenna components, from which we derive channel transition functions, and the channel impulse response. Using these results, we can estimate the performance of the data Miscellaneous WiNoC connexions, and this helps us to design efficient multiple access (MA) FDM and TDM systems. We have estimates of the limit for these MA schemes. It was throughput. To further the feasibility analysis, we are analyzing the efficiency of a basic study. Binary transmitting system—On-Off Keying (OOK)—through the resultant dispersion networks, which can enable single-hop, multicast, and broadcast contact. This will contribute to a decrease in energy usage thus making things quicker.


Keywords: Chip, FDM, Multiprocessor, OOK, TDM, Topology, WiNoC antenna.


Full Text:

PDF

References


Asanovic K, Bodik R, Catanzaro BC, Gebis JJ, Husbands P, Keutzer K, Patterson DA, Plishker WL, Shalf J,

Williams SW, Yelick KA. ’The Landscape of Parallel Computing Research: A View from Berkeley,’ electrical engineering and Computer Sciences, University of California at Berkeley, Technical Report No. UCB/EECS-2006–183. Berkeley, CA; Dec 18 2006.

Borkar S. Thousand core chips: A technology perspective Design Automation Conference, location; Jun 4–8 2007.

Barroso LA, Gharachorloo K, McNamara R, Nowatzyk A, Qadeer S, Sano B, Smith S, Stets R, Verghese B. Piranha: a scalable architecture based on single-chip multiprocessing. Proceedings of the 27th annual symposium on Computer Architecture (ISCA’00). 2000;28(2):282–93. doi: 10.1145/342001.339696.

Whitepaper NVIDIA’s Next Generation CUDA TM Compute Architecture: Fermi TM V1.1. (n.d.). [online] . Available at: https://www.nvidia.com/content/PDF/fermi_white_papers/NVIDIA_Fermi_Compute_Architecture_Whitepaper.pdf.

Vangal SR, Howard J, Ruhl G, Dighe S, Wilson H, Tschanz J, Finan D, Singh A, Jacob T, Jain S, Erraguntla V, Roberts C, Hoskote Y, Borkar N, Borkar S. An 80-Tile Sub-100-WTeraFlops Processor in 65-nm CMOS. IEEE J Solid-State Circuits. 2008;43(1):29–41. doi: 10.1109/JSSC.2007.910957.

Wentzlaff D, Griffin P, Hoffmann H, Liewei Bao, Edwards B, Ramey C, Mattina M, Chyi-Chang Miao, Brown JF, Agarwal A. On-Chip interconnection architecture of the Tile processor. IEEE Micro. Sep–Oct 2007;27(5):15–31. doi: 10.1109/MM.2007.4378780.

Julliard L. Kalray MPPA MANYCORE: A New Era of computing for embedded applications. Available from: http://www.sigemb.jp/ESS/2013/files/ess2013_Kalray.pdf Embedded System Symposium, Tokyo, Japan, Oct 16–18, 2013.

Kogge P et al. ’Exascale Computing Study: Technology Challenges in Achieving Exascale Systems,’ DARPA Information Processing Techniques Office (IPTO) sponsored study; 2008.

International Technology Roadmap for Semiconductors. (2021). ITRS 2.0 Home Page. [online] Available at: http://www.itrs2.net/

Ainsworth TW, Pinkston TM. Characterizing the cell EIB On-Chip network. IEEE Micro. Sep–Oct 2007;27(5):6–14. doi: 10.1109/MM.2007.4378779.

Arditti Ilitzky D, Hoffman JD, Chun A, Perez Esparza B. Architecture of the Scalable Communications Core’s Network on Chip. IEEE Micro. Sep–Oct 2007;27(5):62–74. doi: 10.1109/MM.2007.4378784.

Butts M. Synchronization through communication in a massively parallel processor array. IEEE Micro. Sep–Oct 2007;27(5):32–40. doi: 10.1109/MM.2007.4378781.

Gratz P, Changkyu Kim C, Sankaralingam K, Hanson H, Shivakumar P, Keckler SW, Burger D. On-Chip interconnection networks of the TRIPS chip. IEEE Micro. Sep–Oct 2007;27(5):41–50. doi: 10.1109/MM.2007.4378782.

Hoskote Y, Vangal S, Singh A, Borkar N, Borkar S. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro. Sep–Oct 2007;27(5):51–61. doi: 10.1109/MM.2007.4378783.

Kim J, Nicopoulos C, Park D, Das R, Xie Y, Narayanan V, Yousif MS, Das CR. A novel dimensionally decomposed router for On-Chip communication in 3D architectures. SIGARCH Comput Archit News. Proceedings of the 34th annual international symposium on Computer Architecture (ISCA). Jun 2007;35(2):138–49. doi: 10.1145/1273440.1250680.

Hoskote Y, Vangal S, Singh A, Borkar N, Borkar S. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro. Sep–Oct 2007;27(5):51–61. doi: 10.1109/MM.2007.4378783.

Owens JD, Dally WJ, Ho R, Jayasimha DNJ, Keckler SW, Li-Shiuan Peh L-S. Research challenges for On-Chip interconnection networks. IEEE Micro. Sep–Oct 2007;27(5):96–108. doi: 10.1109/MM.2007.4378787.

Miller DAB. Device requirements for optical interconnects to silicon chips. Proc IEEE. Proceedings of the IEEE. Jul 2009;97(7);Special Issue on Silicon Photonics:1166–85. doi: 10.1109/JPROC.2009.2014298.

Shang L, Peh LS, Jha NK. Dynamic voltage scaling with links for power optimization of interconnection networks. Proceedings of the 7th international symposium on High-Performance Computer Architecture (HPCA). Anaheim, CA; Feb 8–12 2003. p. 91–102.

Kim EJ, Yum KH, Link GM, Vijaykrishnan N, Kandemir M, Irwin MJ, Yousif M, Das CR. Energy optimization techniques in cluster interconnects. Proceedings of the 2003 international symposium on low power electronics and design (ISLPED 03). Seoul, Korea; Aug 25–2 2003. p. 459–64.

Kim J, Balfour J, Dally WJ. Flattened butterfly topology for On-Chip networks. IEEE Comput Arch Lett. Proceedings of the 40th annual

IEEE/ACM international symposium on microarchitecture. Dec 1–5 2007;6(2):37–40. doi: 10.1109/L-CA.2007.10.




DOI: https://doi.org/10.37628/ijacs.v6i2.1378

Refbacks

  • There are currently no refbacks.